Welcome![Sign In][Sign Up]
Location:
Search - vhdl adc0809

Search list

[Windows Developise6.3ad0809_test

Description: 本程序为VHDL语言编写的ADC0809的采样程序 并用DA0800恢复-procedures for the preparation of the VHDL ADC0809 the sampling procedures used to restore DA0800
Platform: | Size: 4096 | Author: tmx | Hits:

[VHDL-FPGA-VerilogADC0809

Description: adc0809的fpga时序电路接口程序-Sequential Circuits adc0809 the FPGA interface program
Platform: | Size: 196608 | Author: yc | Hits:

[VHDL-FPGA-Verilogadc0809

Description: VHDL编写的ADC0809 控制器,经过验证没有错误-VHDL prepared ADC0809 controller, no errors verified
Platform: | Size: 1024 | Author: 王攀 | Hits:

[VHDL-FPGA-VerilogVHDL-XILINX-EXAMPLE26

Description: [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现ADC0809的采样电路设计][15--DMA方式A/D采样控制电路设计][16--硬件电子琴][17--乐曲自动演奏][18--秒表][19--移位相加8位硬件乘法器][20--VGA图像显示控制器(彩条)][21--VGA图像显示控制器][22--等精度频率计][23--模拟波形发生器][24--模拟示波器][25--通用异步收发器(UART)][26--8位CPU设计(COP2000)]
Platform: | Size: 3687424 | Author: hawd | Hits:

[VHDL-FPGA-VerilogADC0809

Description: adc0809数模转换芯片fpga控制程序
Platform: | Size: 57344 | Author: conanhfl | Hits:

[VHDL-FPGA-Verilogadc0809

Description: ADC0809的驱动程序,经实验正确可靠,实用-ADC0809 driver, after the experiment to accurate and reliable, practical
Platform: | Size: 1024 | Author: chenyang | Hits:

[VHDL-FPGA-VerilogADC0809_VHDL_ctrl

Description: VHDL控制ADC0809芯片,实现AD转化及采集后数据的读写。-VHDL control ADC0809 chip realize after AD conversion and acquisition of reading and writing data.
Platform: | Size: 4096 | Author: zhou129 | Hits:

[VHDL-FPGA-Verilogadc0809

Description: 基于北京革新公司出品的EDA实验工具箱的数模转换程序。该程序将输入的5V信号从01至FF量化并通过2位数码管进行显示。量化精度为0.1v。编译环境为quartusll.5.1版本。fpga芯片为EP18CQ240C6-Beijing-based company produced innovative EDA experimental toolbox of digital-analog conversion process. The program will be entered into the 5V signal from 01 to FF quantified by two digital tube display. Quantify the accuracy of 0.1v. Quartusll.5.1 version of the compiler environment. FPGA chip for EP18CQ240C6
Platform: | Size: 161792 | Author: ck | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 用CPLD/FPGA驱动ADC0809芯片的VHDL源程序-Using CPLD/FPGA drive ADC0809 chip VHDL source
Platform: | Size: 156672 | Author: peter | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 用状态机对A/D转换器0809的采样控制电路的实现。工具:Quartus ii 6.0 语言:VHDL-State machine used for A/D converter sampling control circuit 0809 is achieved. Tools: Quartus ii 6.0 Language: VHDL
Platform: | Size: 46080 | Author: 杨晴飞 | Hits:

[VHDL-FPGA-Verilogmotorcontrol(vhdl)

Description: 基于FPGA的直电机伺服系统的设计的代码,VHDL语言。包括前馈控制,AD1674控制模块,ADC0809控制模块,前馈控制模块,分频模块等。-FPGA-based servo system direct the design of the electrical code, VHDL language. Including feed-forward control, AD1674 control module, ADC0809 control module, feed-forward control module, such as sub-frequency modules.
Platform: | Size: 6144 | Author: dong | Hits:

[VHDL-FPGA-VerilogADC0809

Description: ADC0809,完整的功能描述,每0.5秒采样一次,并显示出来。外置ADC0809芯片,-ADC0809, a complete functional description of each sampling time 0.5 seconds, and displayed. ADC0809 external chip
Platform: | Size: 82944 | Author: heshuiming | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 模数转换器件ADC0809的详细中文资料,附VHDL语言编写的基于FPGA的ADC0809控制设计代码-ADC0809 ADC detailed pieces of information in Chinese, with VHDL language ADC0809 based control design of the FPGA code
Platform: | Size: 129024 | Author: zll | Hits:

[VHDL-FPGA-VerilogADC0809

Description: VHDL写的ADC0809的控制转换程序,很容易就看懂的,结构明晰,还有示波器输出模式。-ADC0809 write VHDL control the conversion process, it is easy to understand, and the structure of clarity, as well as the output mode oscilloscope.
Platform: | Size: 1024 | Author: 袁野 | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 完整ADC0809的时序,采用VHDL语言编写,在Altera cycloneI/II系列下的EP1C6\EP2C5\8平台下测试完成,稳定-ADC0809 Driver by VHDL
Platform: | Size: 406528 | Author: ziyan | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 硬件描述语言VHDL的A/D转换程序,可用于做实验,或者初学者借鉴.-Hardware Description Language VHDL of the A/D conversion process can be used for experiments, or the beginners learn.
Platform: | Size: 134144 | Author: czm | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 用状态机描写的ADC0809的驱动程序,希望对于刚接触状态机的新手有所帮组-IT IS USEFUL.....
Platform: | Size: 351232 | Author: tom | Hits:

[VHDL-FPGA-Verilogadc0809

Description: VHDL FPGA ADC0809 数模转换 状态机-VHDL FPGA ADC0809 DAC state machine
Platform: | Size: 138240 | Author: 喻炜 | Hits:

[VHDL-FPGA-Verilogadc0809

Description: 基于ADC0809的采样控制电路的实现,虽然简单,但是代码写得规范,值得学习-ADC0809 based sampling control circuit implementation is simple, but the code is written specifications, it is worth learning
Platform: | Size: 290816 | Author: Andy Lao | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 基于VHDL语言,实现对ADC0809简单控制。ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟-Based on VHDL language, to achieve simple control of ADC0809. ADC0809 no internal clock, an external 10KHz ~ 1290Hz clock signal, where the FPGA system clock (50MHz) divided by 256 get clk1 (195KHz) as the conversion clock ADC0809
Platform: | Size: 410624 | Author: 李维 | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net